2 thoughts on “Signed, unsigned and std_logic_vector

Leave a comment